• Shaoli Liu
    ICT, CAS, Beijing, China and Cambricon Ltd.
  • Zidong Du
    ICT, CAS, Beijing, China and Cambricon Ltd.
  • Jinhua Tao
    ICT, CAS, Beijing, China and Cambricon Ltd.
  • Dong Han
    ICT, CAS, Beijing, China and Cambricon Ltd.
  • Tao Luo
    ICT, CAS, Beijing, China and Cambricon Ltd.
  • Yunji Chen
    ICT, CAS, Beijing, China and CAS Center for Excellence in Brain Science and Intelligence Technology
  • Tianshi Chen
    ICT, CAS, Beijing, China and CAS Center for Excellence in Brain Science and Intelligence Technology and Cambricon Ltd.

書誌事項

タイトル別名
  • an instruction set architecture for neural networks

抄録

<jats:p>Neural Networks (NN) are a family of models for a broad range of emerging machine learning and pattern recondition applications. NN techniques are conventionally executed on general-purpose processors (such as CPU and GPGPU), which are usually not energy-efficient since they invest excessive hardware resources to flexibly support various workloads. Consequently, application-specific hardware accelerators for neural networks have been proposed recently to improve the energy-efficiency. However, such accelerators were designed for a small set of NN techniques sharing similar computational patterns, and they adopt complex and informative instructions (control signals) directly corresponding to high-level functional blocks of an NN (such as layers), or even an NN as a whole. Although straightforward and easy-to-implement for a limited set of similar NN techniques, the lack of agility in the instruction set prevents such accelerator designs from supporting a variety of different NN techniques with sufficient flexibility and efficiency.</jats:p> <jats:p>In this paper, we propose a novel domain-specific Instruction Set Architecture (ISA) for NN accelerators, called Cambricon, which is a load-store architecture that integrates scalar, vector, matrix, logical, data transfer, and control instructions, based on a comprehensive analysis of existing NN techniques. Our evaluation over a total of ten representative yet distinct NN techniques have demonstrated that Cambricon exhibits strong descriptive capacity over a broad range of NN techniques, and provides higher code density than general-purpose ISAs such as ×86, MIPS, and GPGPU. Compared to the latest state-of-the-art NN accelerator design DaDianNao [5] (which can only accommodate 3 types of NN techniques), our Cambricon-based accelerator prototype implemented in TSMC 65nm technology incurs only negligible latency/power/area overheads, with a versatile coverage of 10 different NN benchmarks.</jats:p>

収録刊行物

被引用文献 (1)*注記

もっと見る

詳細情報 詳細情報について

問題の指摘

ページトップへ