Functional Properties of Novel Metallic Hard Masks

この論文をさがす

抄録

Hard masks play an important role in pattern transfer to the desired substrate in the semiconductor lithography processes. Organic and inorganic type hard masks are used. While most organic hard masks such as carbon and siloxane type are solution spin coated, inorganic type hard masks such as SiON and SiN are either chemical vapor deposited (CVD) or atomic layer deposited (ALD). Future generation of lithography processes require hard masks with higher resistance to fluorinated plasma and materials that can be easily wet stripped after pattern transfer process to prevent dry etch damage to the substrate underneath.<br>The present paper describes formation and functional properties of novel metal oxide hard masks by simple solution spin coating process. These novel metal oxide hard masks offer good etch selectivity and can easily be partially or fully wet strippable using commonly used chemicals in the FABs. The spin coatable composition has good long-term shelf life and pot life stability based on solution LPC analysis and wafer defect studies. The hard mask material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. At the same time they are transparent at 500-700nm for alignment mark identification and can be spin coatable up to 450nm thickness with good film quality. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance sensitivity of the photoresist. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions.

収録刊行物

被引用文献 (3)*注記

もっと見る

参考文献 (2)*注記

もっと見る

詳細情報 詳細情報について

問題の指摘

ページトップへ