Novel EUV Resist Materials Design for 14 nm Half Pitch and below

  • Tarutani Shinji
    Research & Development management headquarters, Electronic Materials Research Laboratories, FUJIFILM Corporation
  • Tsubaki Hideaki
    Research & Development management headquarters, Electronic Materials Research Laboratories, FUJIFILM Corporation
  • Fujimori Toru
    Research & Development management headquarters, Electronic Materials Research Laboratories, FUJIFILM Corporation
  • Takizawa Hiroo
    Research & Development management headquarters, Synthetic Organic Chemistry Laboratories, FUJIFILM Corporation
  • Goto Takahiro
    Research & Development management headquarters, Electronic Materials Research Laboratories, FUJIFILM Corporation

この論文をさがす

抄録

Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according to the acid yield increase, but resolution was significantly degraded.

収録刊行物

被引用文献 (2)*注記

もっと見る

参考文献 (5)*注記

もっと見る

詳細情報 詳細情報について

問題の指摘

ページトップへ