Pathway to sub-30nm Resolution in EUV Lithography

この論文をさがす

抄録

We have investigated a number of key resist factors using EUV lithography including activation energy of deprotection, and acid diffusion length. Our standard high activation resist material, MET-2D (XP5271F), is capable of robust performance at CDs in 40 nm regime and thicknesses above 100 nm. Below 100 nm film thickness, controlling acid diffusion becomes a difficult challenge. We have also developed a low activation resist (XP6305G) which shows superior process window and exposure latitude at CDs in the 35 nm regime. This resist is optimal for 80 nm film thickness. Lastly, we have demonstrated 25 nm 1:1 resolution capability using a novel chemical amplification resist called XP6627. This is the first EUV resist capable of 25 nm resolution. The LER is also very low, 2.7 nm 3σ, for the 25 nm features. Our first version, XP6627G, has a photospeed of 40 mJ/cm2. Our second version, XP6627Q, has a photospeed of 27 mJ/cm2. Our current focus is on improving the photospeed to less than 20 mJ/cm2. The outstanding resolution and LER of this new resist system raises the possibility of extending chemically amplified resist to the 22 nm node.

収録刊行物

被引用文献 (19)*注記

もっと見る

参考文献 (12)*注記

もっと見る

詳細情報 詳細情報について

問題の指摘

ページトップへ